搜索资源列表

  1. Altera-NIOS32-V220

    0下载:
  2. 一个测试端口测试程序-A test port test procedures
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:39936
    • 提供者:站长
  1. verilog实例 [43项]

    0下载:
  2. 嵌入式可编程器件CPLD的典型实例 压缩包,共计43个源码文件。 使用ALTERA的 Muxplus 软件即可编辑仿真 相关软件可在教育网ftp下载[天网查询,有很多站点提供]-Embedded Programmable CPLD in a typical example of compressed, for a total of 43 source document. Altera Muxplus use the software c
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:181248
    • 提供者:吴旭辉
  1. 硬件求解平方根

    0下载:
  2. 硬件求解平方根源代码加密 (硬件求解平方根的,将license添加到原有的MaxplusII或QuartusII的license中就可以直接使用,但源代码加密。altera提供 )-solving square root of the hardware encryption code (square root of the hardware solution will be added to the original license M
  3. 所属分类:加密解密

    • 发布日期:2024-05-15
    • 文件大小:39936
    • 提供者:
  1. isr_uart_example_code

    0下载:
  2. altera串口源代码程序-ALTERA source code procedures
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:梁远
  1. altera

    0下载:
  2. FPGA研讨会的一些问题集!-some of the problems set!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:398336
    • 提供者:林建加
  1. ALTERA FPGA特殊管脚说明

    0下载:
  2. FPGA特殊管脚说明-special note
  3. 所属分类:文档资料

    • 发布日期:2024-05-15
    • 文件大小:12288
    • 提供者:王进
  1. mc8051_cyclone_nios

    0下载:
  2. 增强型8051的VHDL源代码,两个周期执行一条指令,仿真工具为Modelsim,开发板为Altera的EP1C20开发板-enhanced 8051 VHDL source code, the implementation of a two-cycle instruction, simulation tools for Modelsim, development board for the Altera EP1C20 developm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2000896
    • 提供者:柳如飞
  1. tiny16cpu_maxII

    0下载:
  2. 这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全-this is the ALtera devoted second-generation PLD MAXII on the 16-bit microprocessor IP core, complete documentation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:240640
    • 提供者:李无志
  1. two_d_dct_serial

    1下载:
  2. altera公司提供的适用于包涵DSP内核的FPGA的二维DCT变换源码,语言是:verilog 性能不错,不过资源消耗有点大,可以用来学习多项式变换的DCT算法-ALTERA companies covered in the application of FPGA DSP core 2D DCT source language is : Verilog performance is good, but a bit large cons
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:24576
    • 提供者:猪猪
  1. perl561src

    0下载:
  2. Altera recommends the following system configuration: * Pentium II 400 with 512-MB system memory (faster systems give better software performance) * SVGA monitor * CD-ROM drive * One or more of the following I/O ports: -
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:7300096
    • 提供者:周元平
  1. sopc

    0下载:
  2. altera推出的基于它们fpga和cpld的构建嵌入式系统的新技术sopc的介绍。其集成在quartus II中-ALTERA due to launch them and they simply cpld Construction of the new Embedded System Technology sopc briefing. Its integrated into the Quartus II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:8863744
    • 提供者:刘吉
  1. nios_tutorial

    0下载:
  2. altera nois cpu.Very good.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:445440
    • 提供者:张卫
  1. color_bar

    0下载:
  2. 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:10240
    • 提供者:石坚
  1. altera的IP源码

    0下载:
  2. Altera的IP源码8259,只需打开就能实现-Altera IP source 8259, will be realized only open
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:149504
    • 提供者:王天权
  1. USB枚举

    0下载:
  2. ALTERA NIOS处理器实验,编程环境是QUARTUS,在NIOS SHELL下编译实现功能。实验USB接口-Altera NIOS processor experiments, programming environment is QUARTUS in NIOS SHELL compiler functionality. Experimental USB interface
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:35840
    • 提供者:xf
  1. LCD显示实验

    0下载:
  2. ALTERA NIOS处理器,用VHDL在QUARTUS下编写,用NIOS SHELL调试通过,实验LCD液晶显示-Altera NIOS processor, using VHDL in QUARTUS prepared with NIOS SHELL debug through experimental LCD
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:35840
    • 提供者:xf
  1. SRAM@DMA实验

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,然后NIOS SHELL下C 语言运行。实验SRAM和DMA调度-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, then NIOS SHELL C language runtime. Experimental SRAM and DMA Scheduling
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:33792
    • 提供者:xf
  1. SPI接口音频Codec实验

    0下载:
  2. ALTERA NIOS处理器,VHDL语言在QUARTUS编译通过,然后有C语言在NIOS SHELL下驱动,实验音频解码-Altera NIOS processor, the QUARTUS VHDL compiler, then the C language under NIOS SHELL-driven, experimental audio decoder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:34816
    • 提供者:xf
  1. sdr sdram controller

    0下载:
  2. ALTERA sdram vhdl与verilog参考设计-Altera SDRAM VHDL and Verilog reference design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2458624
    • 提供者:陈东平
  1. 4篇Altera中文资料

    0下载:
  2. 这个是最前沿的技术,对于搞电子的朋友有很大的帮助~-this is the most cutting-edge technologies, engage in electronic friends will be very helpful ~
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:452608
    • 提供者:何跃
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org