搜索资源列表

  1. shiyanrenwu1

    0下载:
  2. Free Scale 单片机上实现LED灯交替闪烁功能 “向上”按钮,加快闪烁的频率 “向下”按钮,减慢闪烁的频率 “向左”按钮,切换D2是否闪烁 “向右”按钮,切换D1是否闪烁 -Free Scale Single Chip LED lights flash alternately on the realization of function " up" button, speeding up
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:227328
    • 提供者:王南
  1. paixu

    0下载:
  2. 给定一个带期限的作业排序问题, n=5, (p1,p2,p3,p4,p5)=(6,3,4,8,5), (t1,t2,t3,t4,t5)=(2,1,2,1,1), (d1,d2,d3,d4,d5)= (3,1,4,2,4), 应用FIFOBB求使总罚款数最小的可行作业集J, 要求:实现对不同作业排序问题实例的求解,问题实例的输入数据存储在case.txt文件中。-Given a scheduling problem with the o
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:zhoukejian
  1. root-music2

    0下载:
  2. 求0到n之间的“水仙花数”,所谓“水仙花数”是指一个三位数,其各位数字的立方和等于该数本身。-a data d between 1 and 1000 that abey d=d1^3+d2^3+d3^3
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:fjp119
  1. shijinzhiBCD

    0下载:
  2. 十进制-BCD码转换的代码在内,完整希望大家能用上-variable reg : integer range 0 to 80000 variable d1,d2,d3,d4 : std_logic_vector(3 downto 0) begin if clk100 event and clk100= 1 then case current_state1 is wh
  3. 所属分类:Windows编程

    • 发布日期:2024-05-20
    • 文件大小:84992
    • 提供者:肖肖
  1. hafumanbianma

    0下载:
  2. c语言编写的哈夫曼编码。设需要编码的字符集为{d1, d2, …, dn},它们出现的频率为 {w1, w2, …, wn},应用哈夫曼树构造最短的不等长编码方案。 数据结构与算法 typedef char *HuffmanCode //动态分配数组,存储哈夫曼编码 typedef struct { unsigned int weight //用来存放各个结点的权值 unsigned int parent,
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-20
    • 文件大小:51200
    • 提供者:SongLY
  1. tbarcode

    0下载:
  2. TBarcode (Descr iption/Installation) Descr iption: ------------ TBarcode is a 16/32-bit programming tool for Borlands Delphi compiler versions (D1, D2, D3, D4, D5). It supports the most common barcode type
  3. 所属分类:Dephi控件源码

  1. LED

    0下载:
  2. 1设计要求 PC6,PC7,PD6,PD13 LED快速交替闪烁。 2 硬件电路设计 在开发板上通用I/O口PC6,PC7,PD6,PD13作为控制D1,D2,D3,D4的控制线。 3软件程序设计 根据设计任务要求,软件程序主要包括: (1) 配置GPIO口,将PC6,PC7,PD6,PD13配置为上拉输出模式。 (2) 延时控制相应端口的置位和复位。 4
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-20
    • 文件大小:496640
    • 提供者:xuanfangli
  1. Automatically-find-its-way-demo

    0下载:
  2. 首先生成8个方向的节点,tmp(tmpe)用于记录生成的节点对应的节点号 ** ** 新生成的节点的指针(d1 -表示离开起始点的 距离)指向生成他的父节点 ** **, 按该点到目的地的大小nude(i).d2 排序后加入opened列表, ** ** (opened 总是指向离 目的地最近的点)然后再从opened表中取出一个节点,生成新的节点** ** ** 按8个方向生成新的节点,如果要生成的节点 已经存
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-20
    • 文件大小:34816
    • 提供者:赵金柯
  1. D2

    0下载:
  2. 传统液晶1602显示程序,初始化清屏设置,清晰显示字符数字-Traditional liquid crystal display program 1602, initialization clear screen set, character figures clearly show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:43008
    • 提供者:吴韬
  1. Matlabwaveenergy

    0下载:
  2. 对信号f(x)进行三层小波变换,得到小波系数d1,d2,d3和逼近系数a3,我想求出各个频率段的能量(能量=小波系数的平方和),很不错的应用程序-The signal f (x) for three wavelet transform, wavelet coefficients d1, d2, d3 and approximation coefficients a3, I would like to find the energy of
  3. 所属分类:波变换

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:ifengdoo
  1. d2

    0下载:
  2. 应变仪完整C语言代码,已经在实际产品中应用,对大家编写测量程序有很大帮助-Strain gauge full C language code has been applied in the actual product, the measurement procedure we write a great help
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:8192
    • 提供者:戴超
  1. D2

    0下载:
  2. 基于慧净开发板的一个闹钟程序,设计温度,时间,闹钟,液晶屏的使用,键盘驱动,以及使用模块化编程,驱动模块可以直接拿出使用.-An alarm clock program based on the HJ-3G development board. Designed the temperature, time, alarm clock and the LCD screen, keyboard-driven. It uses modular
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:8192
    • 提供者:Hachman
  1. db4fengjie6

    0下载:
  2. 用db4小波分解六层:s=a6+d6+d5+d4+d3+d2+d1-db4 wavelet decomposition of six layers: s = a6+ d6+ d5+ d4+ d3+ d2+ d1
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:车队
  1. Sources

    0下载:
  2. 本程序是飞思卡尔HCS908_QG8 TIMER模模块的例程,所用资源为单片机模定时器MTIM,所接器件为LED灯,其中D2与PTA0,D3与PTA1相连接!-This program is a module routine TIMER mode, the resources used for the single-chip mode timer the MTIM access devices for the LED lights, D
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:羽毛球
  1. xiaobobao

    0下载:
  2. ch3=softt(h3,thr1 clear clc I=imread( lena512.bmp ) imshow(I) I=double(I) II=I+30*randn(size(I)) figure imshow(II,[]) [a1,h1,v1,d1]=dwt2(II, sym8 ) [a2,h2,v2,d2]=dwt2(a1, sym8 ) [a3,h3,v3,d3]
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:张志强
  1. software

    0下载:
  2. its project for ALtera D2 developement
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:7168
    • 提供者:waqar
  1. D2

    0下载:
  2. DS1602的高级精确显示 msp430f149-DS1602' s advanced precision display msp430f149
  3. 所属分类:Windows编程

    • 发布日期:2024-05-20
    • 文件大小:49152
    • 提供者:
  1. Ext_Int

    0下载:
  2. 1.本示例演示28035的外中断配置和使用方法。28035一共有3个外中断,这三个外中断的 中断触发源可配置为GPIO0-GPIO31之间的任意一个;本示例中,我便将GPIO27作为XINT1 的中断触发沿,如果按键按下,一个下降沿将触发进入中断,在中断中,我们将让D2的状态 翻转一次; 2.外中断XINT可以配置为上升沿触发,下降沿触,双边触发(上升沿和下降沿都触发)。-1. This sample demonstra
  3. 所属分类:串口编程

    • 发布日期:2024-05-20
    • 文件大小:716800
    • 提供者:卢启明
  1. DE2_115_TV

    0下载:
  2. D2-115TV编码与解码源码 VGA显示-D2-115TV encoding and decoding source VGA display
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:12275712
    • 提供者:LD
  1. DE2_115_Default

    0下载:
  2. D2-115学习源码,功能配置,音频功能,LCD控制,视频同步产生器-Learning source D2-115, the functional configuration of the audio function, LCD control, video sync generator
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2600960
    • 提供者:LD
« 1 2 3 4 5 6 78 9 10 11 12 »

源码中国 www.ymcn.org