搜索资源列表

  1. fifo

    0下载:
  2. 高速FIFO,verilog设计。速度高达130Mhz
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:107881
    • 提供者:王龙波
  1. FIFO

    0下载:
  2. verilog开发的FIFO,经过验证,有完整版本的测试程序,经典之作
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1955
    • 提供者:屠宁杰
  1. 异步fifo的两种经典设计

    0下载:
  2. 异步fifo的两种经典设计,英文文章,里面含有verilog源代码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-10-30
    • 文件大小:220577
    • 提供者:handsomexun
  1. 采用格雷码的FIFO控制模块(verilog)

    2下载:
  2. 异步FIFO常用于存储、缓冲在两个异步时钟之间的数据传输。在异步电路中,由于时钟之间周期和相位完全独立,因而数据的丢失概率不为零。如何设计一个高可靠性、高速的异步FIFO电路便成为一个难点。本例采用格雷码方式,用verilog语言实现了异步FIFO控制,大大降低误码率,提高了可靠性。
  3. 所属分类:源码下载

  1. VHDL 的实例程序,共44个

    0下载:
  2. 经典VHDL 的实例程序,共44个!要下载的尽快-classic examples of VHDL, with a total of 44! To download as soon as possible
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:43008
    • 提供者:立立
  1. FIFO_v

    0下载:
  2. FIFO的verilog实现,内附testbench和文档说明-FIFO verilog achieve, enclosing testbench and documentation shows
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-06
    • 文件大小:175104
    • 提供者:wutailiang
  1. fifo

    0下载:
  2. 高速FIFO,verilog设计。速度高达130Mhz-High-speed FIFO, verilog design. Speed up to 130MHz
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:107520
    • 提供者:
  1. fifo

    0下载:
  2. 使用Verilog语言编写,把FPGA配置成一个fifo-The use of Verilog language, the FPGA configuration into a fifo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:19456
    • 提供者:achesser
  1. FIFO

    0下载:
  2. verilog开发的FIFO,经过验证,有完整版本的测试程序,经典之作-Verilog development FIFO, after verification, a complete version of the test procedure, classic
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:屠宁杰
  1. FIFO_Example2

    0下载:
  2. 用Verilog语言写的FPGA FIFO,仅供参考。-Verilog language used to write the FPGA FIFO, for informational purposes only.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1024
    • 提供者:yangyu
  1. FIFO

    0下载:
  2. 用verilog实现异步FIFO,代码中有两个模块,使用时注意顶层模块和底层模块,用quartus2即可打开直接使用。-Verilog using Asynchronous FIFO, the code has two modules, when the attention of top-level module and the bottom module, with direct access to open quartus2.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:杨帆
  1. fifo

    0下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过modelsim调试,内含文档和波形图-Asynchronous fifo, to prepare to use Verilog, including testbench, debug modelsim has passed, including documents and wave
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:40960
    • 提供者:iechshy1985
  1. asynfifo

    0下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过调试,需要的下载-Asynchronous fifo, to prepare to use Verilog, including testbench, debugging has been passed, the need to download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:25600
    • 提供者:iechshy1985
  1. fifo

    0下载:
  2. fifo用Verilog hdl的实现,这是一个比较常用的源码,文档中有很详细的注释,初学者应该可以看懂。-implementation using Verilog hdl usb, this is a common source, the document had a very detailed notes, beginners should understand.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:6144
    • 提供者:zhulyan580086
  1. fifo

    0下载:
  2. verilog实现fifo,ise中仿真,chipscope调试-verilog achieve fifo, ise in the simulation, chipscope debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:4930560
    • 提供者:xiangxj
  1. FIFO

    0下载:
  2. 三种同步方式实现的FIFO,verilog HDL,FPGA,更好理解FIFO-The three implemented synchronously FIFO, Verilog HDL, FPGA, a better understanding of the FIFO
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:8192
    • 提供者:fan
  1. 异步FIFO

    0下载:
  2. 自己编写的同步和异步FIFO的verilog代码,验证过,有可靠性(Verilog code of my own synchronous and asynchronous FIFO, verified,and reliable.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:大黄黄黄
  1. fifo

    0下载:
  2. Verilog HDL实现通用的FIFO的一个demo,可以参考这个程序根据自己的需求更改深度和宽度,以及标志位(Verilog HDL implements a demo of a generic FIFO that you can refer to to to change the depth and width, as well as the flag bits, depending on your needs)
  3. 所属分类:传真(Fax)编程

    • 发布日期:2024-05-06
    • 文件大小:4649984
    • 提供者:gankl
  1. verilog实例 [43项]

    0下载:
  2. 一些采用verilog描述的数字功能模块,有常见的同步异步FIFO,RAM等模块,适合新手学习(Some digital function modules described by Verilog, such as synchronous asynchronous FIFO and ram, are suitable for novice learning)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:190464
    • 提供者:hayto
  1. 异步FIFO

    1下载:
  2. 纯Verilog实现的异步FIFO,分为读写控制模块,SRAM CORE,同步等几个模块,内含源文件和仿真文件(The asynchronous FIFO implemented by Verilog is divided into read-write control module, SRAM core module and synchronization module)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:wt2110
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org