搜索资源列表

  1. FSM的示例程序

    0下载:
  2. 这是有限状态机的模版事例程序,很有意义。 请斑竹尽快给我下载权限。急。- Please fed as I downloaded to the authority. Urgent.
  3. 所属分类:通讯编程

    • 发布日期:2024-05-19
    • 文件大小:5120
    • 提供者:罗小强
  1. mealy FSM

    0下载:
  2. mealy fsm 和moore fsm-mealy Fsm and moore Fsm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:scy
  1. uartok

    0下载:
  2. 采用verilog编写的串口通信程序,采用了状态机设计!程序简单,消耗资源少-Serial communication written by verilog hdl. It is designed with FSM. The program is simple,and consume resource is few.
  3. 所属分类:串口编程

    • 发布日期:2024-05-19
    • 文件大小:431104
    • 提供者:陈旭
  1. fsm

    0下载:
  2. 所属分类:数据结构常用算法

    • 发布日期:2024-05-19
    • 文件大小:28672
    • 提供者:陈文
  1. fsm_2006_12_26

    0下载:
  2. 关于FSM的使用,希望大家好好学习和推广这项技术-the use of the FSM, we hope to learn and to promote this technology
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:1606656
    • 提供者:张雨杰
  1. FSM_design_guide

    0下载:
  2. 在FPGA设计时常用到FSM设计,本文很好地指导如何设计FSM-in FPGA design often used FSM design, a good guide is how to design FSM
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:217088
    • 提供者:zhangbijun
  1. FSMGenerator10b7_reference

    0下载:
  2. FSM(有限状态机)代码生成器参考使用手册,windows环境-FSM (finite state machine) code generator reference manual, windows environment
  3. 所属分类:系统编程

    • 发布日期:2024-05-19
    • 文件大小:323584
    • 提供者:jiangyong
  1. FSMGenerator10b7_manual

    0下载:
  2. FSM(有限状态机)代码生成器设计指导,windows环境下使用 -FSM (finite state machine) code generator design guidance, windows environment
  3. 所属分类:系统编程

    • 发布日期:2024-05-19
    • 文件大小:69632
    • 提供者:jiangyong
  1. A_acm5

    0下载:
  2. 用C++实现人工智能控制,其内核为FSM,附件为实现工具 -With C++ Realize artificial intelligence control, and its core for the FSM, the annex for the realization of tools
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-19
    • 文件大小:949248
    • 提供者:jiangyong
  1. FSM_Moore

    0下载:
  2. altera Quartus II FSM使用 可設定時間波形,手動調整波形頻率。 (含電路) -altera Quartus II FSM can be set using the time waveform, manually adjust the frequency waveform. (With circuit)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:114688
    • 提供者:陳小龍
  1. FSM_writing

    0下载:
  2. VHDL/Verilog FSM的优化写法-VHDL/Verilog FSM optimization formulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:pc repair
  1. fsm

    0下载:
  2. 检测输入数据中的“10110”序列,并记录检测到的序列的数目,当序列数目大于15时溢出。 输入信号:iclk //输入时钟 rst_ //复位信号 din //输入串行数据 输出信号:[3:0] catch //检测到的序列的数目 overflow //数目大于15 ,溢出 -Detection of input data of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:Eric
  1. ALTERA_DE2_FSM_VHDL

    0下载:
  2. This an exercise in using finite state machines.基于ALTERA的DE2开发 平台,设计一个有限状态机FSM(finite state machines).-This an exercise in using finite state machines. Based on ALTERA s DE2 development platform to design a finite stat
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:75776
    • 提供者:sopc
  1. synopsis_FSM_coding

    0下载:
  2. synopsis的有限状态机编码方法的文档。 针对synopsis的综合环境,根据其综合工具的特点说明安全可靠、速度适合的FSM编码风格。 FSM coding style under synopsis. Used for verilog or vhdl designer. Good study data for ASIC newhand.-synopsis of the finite state machine coding
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:119808
    • 提供者:road
  1. custom_focus_manager

    0下载:
  2. flash lite FSM 学习实例 FSM 按钮实例-flash lite FSM study examples of FSM examples button
  3. 所属分类:FlashMX源码

    • 发布日期:2024-05-19
    • 文件大小:7168
    • 提供者:Jay
  1. fsm

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:cuiyundong
  1. microthreads

    0下载:
  2. Simple microthreads and fsm for microcontrollers using only preprocessor/inline code
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:micronpn
  1. ebook_verilog_fine_state_machine

    0下载:
  2. Designing a synchronous finite state machine (FSM) is a common task for a digital logic engineer. This paper discusses a variety of issues regarding FSM design using Synopsys Design Compiler. Verilog and VHDL codin
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:121856
    • 提供者:rex
  1. FSM

    0下载:
  2. 有限状态机状态转换,模拟实现状态转换使用hashtable-Finite state machine state transitions
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-19
    • 文件大小:5120
    • 提供者:Jone
  1. yetert

    0下载:
  2. This package includes 4-bit calculator designed in Xilinx FPGA 10 using VHDL. This calculator contains 3 registers, 1 ALU, 1 decoder and 1 FSM (finite state machine).
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:458752
    • 提供者:crion
« 1 23 4 5 6 7 8 9 10 ... 18 »

源码中国 www.ymcn.org