搜索资源列表

  1. multiplier

    0下载:
  2. A VHDL program for multiplier, which has been used as a main source for a fir filter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:70656
    • 提供者:siva
  1. verilog

    0下载:
  2. 介绍了一种64位子字并行乘法器的设计。根据不同的操作模式可以完成普通模式操作即64bit*64bit乘法操作,又可完成子字并行操作模式,即4个16bit*16bit乘法操作。-Introduced a 64-seat word parallel multiplier design. Depending on the operating mode Normal mode operation can be done that 64bit*
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:99328
    • 提供者:余娅
  1. 34105908-Multipliers-Using-Vhdl

    0下载:
  2. ABSTRACT: Low power consumption and smaller area are some of the most important criteria for the fabrication of DSP systems and high performance systems. Optimizing the speed and area of the multiplier is a major d
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:379904
    • 提供者:phitoan
  1. multiplier

    0下载:
  2. 增广乘子法,优化算法程序,采用Fortran语言编写-Augmented multiplier method, optimization procedures, using Fortran language
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:张克
  1. mult

    1下载:
  2. 32位浮点乘法器的源代码,用verilog来实现的-32-bit floating point multiplier source code to achieve with verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:yolin
  1. 4-multiplier-_vhdl

    0下载:
  2. 4 bit multiplier which can be use for making projects......can also be stimulated on spartan kits
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:jj
  1. Multiplier-method

    0下载:
  2. 乘子法求解约束方程 老师编的一段程序 注释非常详细-Multiplier method to solve a series of constraint equations teachers very detailed program notes
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:mxf
  1. MULTIPLIER

    0下载:
  2. 基于VHDL硬件描述语言设计的乘法器,位数可以修改-VHDL hardware descr iption language based on the design of the multiplier, the median can be modified
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:橡树
  1. multiplier_ip

    0下载:
  2. 基于IP核的乘法器设计,multiplier_ip中包含完整的工程设计文件,用户可以在Xilinx ISE下运行-Based on IP core of design, multiplier_ip on time-multiplier contain complete engineering documents, users can run Xilinx ISE
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2784256
    • 提供者:chenlan
  1. Multiplier

    0下载:
  2. VHDL语言设计的乘法器,经过试验箱测试通过,用试验箱的8个拨码开关输入数字,按键按下输出结果。-VHDL language design of multiplier, after chamber test, with the chamber of the 8 DIP switch input numbers, key press output.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:李志强
  1. lagrange-multiplier

    0下载:
  2. Larange Multipliers-Larange Multipliers...........
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:107520
    • 提供者:moon
  1. VHDL-based-8-bit-multiplier

    0下载:
  2. 基于VHDL的8位乘法器运算程序,运用移位迭代法运算得出-VHDL-based 8-bit multiplier operation procedures, the use of shift operations derived iterative method
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:周益驰
  1. multiplier

    0下载:
  2. 压缩的乘法器。是基于VERILOG 语言实现的,有较快的速度。-Compression of the multiplier. Is based on the VERILOG language, there is a faster speed.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:hydan yi
  1. carry-save-multiplier-Verilog-code

    1下载:
  2. 进位存储乘法器Verilog代码,该乘法器的显著特点是其性能取决于使用的硬件而与数据长度无关.-carry save multiplier Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:zhang chunhui
  1. lowpower-multiplier

    0下载:
  2. 32位无符号低功耗的乘法器,经过10000次测试,用smic.13工艺,DC综合后,延时为8ns,功耗仅为635uw.-it is an unsigned 32bit multiplier.100000 benchmarks have been tested and all of them passed. With smic 0.13um process library, after disign complier analysis,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:
  1. 8bit-Shift-and-Adder--multiplier

    0下载:
  2. 8位乘法器,经移位相加算法来实现的,用的VHDL语言-8-bit multiplier, adding the algorithm to realize the shift of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:584704
    • 提供者:Aaran
  1. 4-bit-multiplier

    0下载:
  2. 4 bit multiplier program using shift and multiply
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:karthick
  1. mult

    0下载:
  2. 4级流水乘法器,本文利用FPGA完成了基于半加器、全加器、进位保留加法器的4比特流水乘法器的设计,编写VHDL程序完成了乘法器的功能设计,并通过Modelsim进行了仿真验证。-Four water multipliers, this paper complete FPGA-based half adder, full adder, carry-save adder 4 bit pipeline multiplier design, w
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:xiu
  1. Small-multiplier

    0下载:
  2. 小型倍频器,简单的介绍了如何用verilog写倍频电路》-Small multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1323008
    • 提供者:zhang
  1. VHDL-test-codeBooth-multiplier

    0下载:
  2. VHDL实验代码:Booth乘法器,是一个基于VHDL语言开发的程序,非常的实用-VHDL test code: Booth multiplier, is a VHDL-based language development program, a very practical
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Johonson
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

源码中国 www.ymcn.org