搜索资源列表

  1. 8位相位相加乘法器

    1下载:
  2. 8位相 加乘法器,具有高速,占用资源较少的优点-eight multiplier phase together with high-speed, taking up less resources advantages
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4803
    • 提供者:张建
  1. multi8x8

    0下载:
  2. 实现了VHDL乘法器,8位乘法操作的完成
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2879
    • 提供者:zxzx
  1. jfqs_multiplier

    0下载:
  2. 使用加法器树乘法器实现8位乘法运算,VHDL语言予以实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:359863
    • 提供者:zxzx
  1. 移动8位乘法器

    0下载:
  2. vhdl 乘法器
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-02
    • 文件大小:22353
    • 提供者:fengwutianya
  1. pipemult

    0下载:
  2. 该源码实现了一个8*8位的乘法器,在实现的过程中用到了宏单元-the source to achieve an 8* 8 Multiplier that in the process modules used Acer
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-09
    • 文件大小:342016
    • 提供者:倪璠
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA/CPLD beginners. Including eight priority encoder, mu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1004544
    • 提供者:leolili
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:9216
    • 提供者:chenyi
  1. multi8x8

    0下载:
  2. 实现了VHDL乘法器,8位乘法操作的完成-VHDL realize a multiplier, an 8-bit multiplication operation completed
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:zxzx
  1. jfqs_multiplier

    0下载:
  2. 使用加法器树乘法器实现8位乘法运算,VHDL语言予以实现-Using adder tree multiplier 8 multiplication realize, VHDL language to realize
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-09
    • 文件大小:359424
    • 提供者:zxzx
  1. mult

    0下载:
  2. 移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:130048
    • 提供者:良芯
  1. add_tree_mult

    0下载:
  2. 8位加法树乘法器,实现两个8位二进制数相乘,采用verilog hdl-8-bit adder tree multiplier, the achievement of the two 8-bit binary number multiplied, using verilog hdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:江浩
  1. Pentium

    0下载:
  2. 这两个分别是8位乘法器的VHDL语言的实现,并经过个人用QUARTUS的验证,另外一个是奔腾处理器的设计思想-The two were 8 multiplier realization of VHDL language and personal use Quartus After verification, another is a Pentium processor design idea
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:378880
    • 提供者:citydremer
  1. multi8x8

    0下载:
  2. VHDL实现的8位乘法器,所有仿真全部通过-VHDL to achieve 8-bit multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:250880
    • 提供者:张四全
  1. 8-bit_multiplier

    0下载:
  2. 用ASM原理做二進位8-BIT乘法的乘法器,內附範例的輸入檔。-ASM to do with the principle of binary multiplication of 8-BIT multiplier, the input file containing a sample.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:沉默劍士
  1. mul

    0下载:
  2. 加法器树乘法器结合了移位相加乘法器和查找表乘法器的优点。它使用的加法器数目等于操作数位数减 1 ,加法器精度为操作数位数的2倍,需要的与门数等于操作数的平方。 因此 8 位乘法器需要7个15位加法器和64个与门-Adder tree multiplier multiplier combination of shift and add multiplier advantage of look-up table. It uses the a
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:肖毅
  1. multiplier

    0下载:
  2. 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。-The multiplier is 8-bit adder consisting of time-series design to the 8-bit multiplier. The multiplication princ
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:103424
    • 提供者:lsp
  1. multi

    0下载:
  2. 8位乘法器,Quters编译环境VHDL代码-pluter VHDL Quters
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:560128
    • 提供者:gaoshang
  1. multiplier8x8

    0下载:
  2. 8位定点乘法器,支持有符号数/无符号数运算。采用4-2压缩树结构,并提供testbench。-It is an 8-bit fixed-point multiplier, supporting signed/unsigned operations. Wallance tree structure with 4-2 compression. Provides testbench.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:superbear
  1. mul8b

    0下载:
  2. 有VerilogHDL编写的8位乘法器,可以综合。-Have been prepared in 8-bit multiplier VerilogHDL can be integrated.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:315392
    • 提供者:signalscut
  1. pipe_mul8

    0下载:
  2. verilog实现的流水线8位乘法器,效率高,代码简洁经典-verilog implementation of pipelined 8-bit multiplier, efficient, simple and classic code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:486400
    • 提供者:flier
« 12 3 4 »

源码中国 www.ymcn.org