搜索资源列表

  1. 基于半加器的全加器描述及仿真

    0下载:
  2. vhdl基于半加器的全加器描述及仿真-VHDL-based increases for the entire increase Descr iption and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:197632
    • 提供者:熊辉波
  1. ALU

    0下载:
  2. 算术逻辑部件的verilog代码,它能够实现半加器、全加器、比较、按位与、按位或、按位异或、加一、减一的操作-Arithmetic logic unit of the verilog code, it can achieve half adder, full adder, compare, bitwise and, bitwise or, bitwise xor, plus one, minus one operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:169984
    • 提供者:*飞
  1. ADD6

    0下载:
  2. 此源代码是基于Verilog语言的多种方式实现的4 选 1 MUX、多种方式实现的4 选 2 MUX 、多种方式实现的1 位半加器 、多种方式实现的1 位全加器、种方式实现的 4 位全加器 、多种方式实现的输出 UDP 元件、两个时钟信号 、选择器 和各种仿真的源代码。-This source code is based on the Verilog language, multiple ways to achieve the 4 S
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:4096
    • 提供者:王柔毅
  1. full_adder

    0下载:
  2. 用verilog在半加器的基础上实现了全加器,方法简单巧妙,对于FPGA入门学习很有帮助-In the half adder using verilog on the basis of a full adder, simple and clever, very helpful for the FPGA Starter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:273408
    • 提供者:孙超
  1. adder

    0下载:
  2. 涉及半加器与全加器的电路连线图模块。非语言编写。-FPGA-verilog,full_adder and half_adder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:203776
    • 提供者:张鸿
  1. my_half_add

    0下载:
  2. 基于FPGA的半加器源码,声明,有verilog编写的-FPGA-based half adder source, statement, written in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:245760
    • 提供者:my_name
  1. adder

    0下载:
  2. 这是一个最简单的四位的全加器设计,由两个半加器构成,采用的是VERILOG的算法级和门级描述的。-This is one of the easiest of the four full adder design, consists of two half-adder, the VERILOG algorithm-level and gate-level descr iptions.
  3. 所属分类:易语言编程

    • 发布日期:2024-05-11
    • 文件大小:168960
    • 提供者:邢金丹
  1. adder4

    0下载:
  2. 使用层次化建模的方法再quartus下实现的4位全加器。包括半加器,一位全加器和四位全加器,并进行了仿真。-This file is used for learners to learn verilog.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:294912
    • 提供者:xiaofengyu
  1. half_sub

    0下载:
  2. 用Verilog语言实现的半加器功能,非常好的例程。-Verilog language implementation with half adder function, very good routine.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:233472
    • 提供者:毛超
  1. demoss

    0下载:
  2. FPGA的代码verilog语言编写,包括LED与按键验证,数据选择器,编码器,译码器半加器,全加器,适合初学者,已经在板子调试成功,板子是 睿智IV开发板。-FPGA code verilog language, including LED and key authentication, data selection, encoder, decoder and a half adder, full adder, suitable fo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:21079040
    • 提供者:ruanguopqing
  1. lab0_32

    0下载:
  2. 大学生专业课的lab,用Verilog实现半加器(the necessary lab for college students to fulfill the function of half-adder)
  3. 所属分类:Windows编程

    • 发布日期:2024-05-11
    • 文件大小:828416
    • 提供者:TwiNklE-BliNk

源码中国 www.ymcn.org