搜索资源列表

  1. shifter

    0下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的-8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:45056
    • 提供者:罗子
  1. VHDL_exmple

    0下载:
  2. VHDL编程一百例,包括加法器、乘法器、移位寄存器、奇偶校验器等。pdf格式的,仅供学习使用-VHDL Programming 100 cases, including the adder, multiplier, shift register, parity, etc.. pdf format, for learning to use
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:6634496
    • 提供者:
  1. UART_receiver

    0下载:
  2. 通用串口收发器的移位寄存器 是verilog hDl编写-uart_reg
  3. 所属分类:串口编程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:杨立海
  1. 74HC595

    0下载:
  2. 74HC595具有一个8位串行输入并行输出的移位寄存器和一个8位输出-74HC595 with an 8-bit serial input parallel output shift register and an 8-bit output
  3. 所属分类:汇编语言

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:新方法
  1. shift_register

    0下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能-Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:huhahuha
  1. shift

    0下载:
  2. 该移位寄存器的功能是寄存输入数据,并在控制信号作用下将输入数据移位输出。-The function of the shift register are input data storage, and under the control signal at the input of data output shift.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:39936
    • 提供者:伍家香
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:26624
    • 提供者:sunzhongyuan
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用-String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:随风
  1. vhdl

    0下载:
  2. 74ls164 8位移位寄存器 串入并出-74ls164 8-bit shift register and a string into
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:fankexing
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:307200
    • 提供者:lsp
  1. 74ls164

    0下载:
  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。-74HC595 is an 8-bit shift register and a memory, three-state output.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:100352
    • 提供者:zhangqiang
  1. 74hc164

    0下载:
  2. 74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。-74HCT164 is an 8-bit edge triggered shift register, serial input data, and then output in
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:21504
    • 提供者:裘怿况
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:32768
    • 提供者:王晓虎
  1. shifter

    0下载:
  2. verilog实现的“并行输入、并行输出移位寄存器”-verilog to achieve a " parallel input, parallel output shift register"
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:王先生
  1. left_shift_register

    0下载:
  2. 用EDA实现的一个带有同步并行预置功能的8位左移移位寄存器-With the EDA to achieve a preset function in parallel with synchronous 8-bit left shift register
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:147456
    • 提供者:哈哈
  1. COUNTER32B

    0下载:
  2. 32位移位寄存器,实现具体右移功能的32为寄存器,结构简单,通过时序验证-32-bit shift register 32 functions to achieve specific right to register, simple structure, through the timing verification
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:249856
    • 提供者:寒星
  1. 移位寄存器

    0下载:
  2. 基于labview的移位寄存器的框图和实现(Implementation of shift register)
  3. 所属分类:LabView

    • 发布日期:2024-05-16
    • 文件大小:4096
    • 提供者:Redtrees
  1. shiftreg44

    0下载:
  2. 一个用来构成缓存原件的基础 计数器和移位寄存器(Base counter and shift register for a cache primitive)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:5120
    • 提供者:aasdd
  1. LSFR

    0下载:
  2. 用Dev C++实现一个简易的线性移位寄存器,LSFR流密码,对信息进行加密。(Dev C++ is used to implement a simple linear shift register, LSFR stream cipher, to encrypt information.)
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:471040
    • 提供者:jttqhh1
  1. shiftreg

    0下载:
  2. 介绍移位寄存器的VHDL语言建模,适合初学者(Introduce the modeling of shift register)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:7907328
    • 提供者:mabang123
« 1 2 34 5 6 7 8 9 10 ... 32 »

源码中国 www.ymcn.org