搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. shift16

    0下载:
  2. 多路移位寄存器dsasg asgagvgdafgadtgertgdafgdafgda-multi-shift registers dsasgasgasgagvgdafgadtgertgdafgdafgda
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:29004
    • 提供者:黎明
  1. 串并转换实验程序

    0下载:
  2. 利用8031串行口,和并行输出串行移位寄存器74LS164,扩展一位数码显示在数码显示器上循环显示0-9这10个数字-use 8031 serial port, parallel and serial shift register output 74LS164 expand a digital display on the digital display shows 0-9 this cycle 10 figures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3065
    • 提供者:韩雪琴
  1. tiaoping

    1下载:
  2. 条屏控制器的CPLD编程,主要完成移位寄存器、编码器和译码器的功能-screen controller CPLD programming, the major shift register, the encoder and decoder functions
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:410847
    • 提供者:阿九
  1. 165

    0下载:
  2. 关于移位寄存器的驱动程序,你可以很方便的加入到自己的应用程序-shift register on the driver, you can do so by adding to their applications
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:2133
    • 提供者:dxh
  1. 595

    0下载:
  2. 关于移位寄存器的驱动程序,你可以很方便的加入到自己的应用程序-shift register on the driver, you can do so by adding to their applications
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:1996
    • 提供者:dxh
  1. 6M

    0下载:
  2. matlab在系统辨识中的应用: 利用六级移位寄存器产生的M序列-Matlab system identification in the application : 6 shift register sequence of the M
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:903
    • 提供者:leo-sofy
  1. gold

    0下载:
  2. SRL16是Virtex器件中的一个移位寄存器查找表。它有4个输入用来选择输出序列的长度。使用XCV50-6器件实现,共占用5个Slice。用来生成gold码。-SRL16 Virtex devices is a shift register lookup table. It has four input used to select the output sequence length. Use XCV50-6 device, occ
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1383
    • 提供者:zy
  1. 移位寄存器

    1下载:
  2. First in first out寄存器的verilog源代码

  3. 所属分类:VHDL编程

    • 发布日期:2009-02-22
    • 文件大小:1169
    • 提供者:sh0205
  1. gold

    0下载:
  2. SRL16是Virtex器件中的一个移位寄存器查找表。它有4个输入用来选择输出序列的长度。使用XCV50-6器件实现,共占用5个Slice。用来生成gold码。-SRL16 Virtex devices is a shift register lookup table. It has four input used to select the output sequence length. Use XCV50-6 device, occ
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:zy
  1. shift8

    0下载:
  2. 8 位移位寄存器 VHDL程序 VHDL程序 VHDL程序-8-bit shift register VHDL procedures VHDL procedures VHDL procedures
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:197632
    • 提供者:周辉
  1. CPU

    0下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:43008
    • 提供者:haotianr
  1. verilog

    0下载:
  2. 一个桶形移位寄存器的.v文件,含testbench-Shift Registers a bucket. V file containing Testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:QU YIFAN
  1. 100vhdl_example

    0下载:
  2. vhdl语言的100个例子 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 -VHDL language, VHDL language 100 examples of 100 cases of the fi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:223232
    • 提供者:光明顶
  1. VHDL_100_1

    0下载:
  2. 第43例 四位移位寄存器 第44例 寄存/计数器 第45例 顺序过程调用 第46例 VHDL中generic缺省值的使用 第47例 无输入元件的模拟 第48例 测试激励向量的编写 第49例 delta延迟例释 第50例 惯性延迟分析 第51例 传输延迟驱动优先 第52例 多倍(次)分频器 第53例 三位计数器与测试平台 第54例 分秒计数显示器的行为描述6 第55例 地址计数器
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-17
    • 文件大小:340992
    • 提供者:zyw
  1. shifter

    0下载:
  2. 有算术移位和逻辑移位,循环移位功能的移位寄存器,Verilog语言编写,Quratus II编译通过。-With arithmetic shift and logical shift, rotate functions shift register, Verilog language, Quratus II compile.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:299008
    • 提供者:姜涛
  1. Random_creat_2017

    0下载:
  2. 产生8bit随机数,采用线性反馈移位寄存器(The 8bit random number is generated by using linear feedback shift register)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:101376
    • 提供者:haha40508
  1. PPM解码器

    0下载:
  2. 本代码主要功能是PPM解码,采用Verilog语言,通过移位寄存器和组合电路实现解码。(The main function of this code is PPM decoding.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:172032
    • 提供者:yuguofang
  1. Desktop

    0下载:
  2. 简单实现线性反馈移位寄存器并进行验证,为初学者提供一个参考(Simple implementation of linear feedback shift register and verification.)
  3. 所属分类:C#编程

    • 发布日期:2024-05-17
    • 文件大小:110592
    • 提供者:qinuo
  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:18432
    • 提供者:MMK1
« 1 2 3 45 6 7 8 9 10 ... 32 »

源码中国 www.ymcn.org