搜索资源列表

  1. DDS

    0下载:
  2. 所以与DDS有关的中文资料及调试心得,打包下载-So with the DDS-related information and debug the Chinese experience, packing Download
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-30
    • 文件大小:9991168
    • 提供者:仙儿
  1. vhdl-dds

    0下载:
  2. fpga 控制dds 程序。希望对各位有用-dds FPGA control procedures. Members wish to be useful
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:88064
    • 提供者:martin
  1. dds

    0下载:
  2. 用MATLAB观察DDS输出波形 -Using MATLAB to observe DDS output waveform using MATLAB observation DDS output waveform
  3. 所属分类:matlab例程

    • 发布日期:2024-04-30
    • 文件大小:3072
    • 提供者:wangzhaohui
  1. DDS

    0下载:
  2. 用8051控制DDS信号发生器,产生1HZ-10MHz的正弦波/三角波/方波-DDS with 8051 control signal generator, producing the 1HZ-10MHz sine/triangle/square wave
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-30
    • 文件大小:43008
    • 提供者:徐小平
  1. DDS

    0下载:
  2. DDS调试心得,VERIOLG 各HDL和VHDL语言的DDS调试方法-DDS debugging experience, VERIOLG the HDL and VHDL languages DDS debugging method
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:53248
    • 提供者:李达兴
  1. DDS

    0下载:
  2. DDS的频率转换可以以近似认为是即时的,这是因为它的相位序列在时间上是离散的,在频率控制字改变之后,要经过一个时钟周期之后才能按照新的相位增量增加,所以也可以说它的频率转换时间就是频率控制字的传输时间,-DDS frequency conversion can be considered similar to real-time, this is because it is the phase sequence in time is d
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:2096128
    • 提供者:lqb
  1. dds

    0下载:
  2. 基于VHDL+FPGA的DDS信号发生设计,已经通过调式-Based on VHDL+ FPGA design of the DDS signal has been through mode
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:560128
    • 提供者:陈阳
  1. DDS

    0下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:软件工程

    • 发布日期:2024-04-30
    • 文件大小:558080
    • 提供者:毛华站
  1. dds

    0下载:
  2. dds 信号发生器的参考程序,,精工参考-dds reference signal generator procedures, Seiko reference
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-30
    • 文件大小:1024
    • 提供者:niuxq
  1. DDS

    0下载:
  2. DDS原理介绍,里面是有时序图和系统设计!-DDS principle that there is a timing diagram and system design!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:454656
    • 提供者:dragon
  1. dds

    0下载:
  2. 这是一个用vhdl语言实现dds的例子,已在quartusII里调通并可以下载到实验箱上,功能正确-This is a use of VHDL language dds example, has been in tune quartusII pass and can be downloaded to the experimental box, the function correctly
  3. 所属分类:操作系统开发

    • 发布日期:2024-04-30
    • 文件大小:331776
    • 提供者:leezhihui
  1. dds

    0下载:
  2. 基于ATMEGA16和DDS的信号发生器的代码,内含一个基于IAR集成开发环境的工程,可直接用IAR打开,编译并烧入单片机,是本人花了半个月的一个成果-ATmega16 and DDS-based signal generator code, contains a IAR integrated development environment based on the works, can be directly used IAR ope
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-30
    • 文件大小:97280
    • 提供者:陈晓涛
  1. DDS

    0下载:
  2. 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
  3. 所属分类:其他小程序

    • 发布日期:2024-04-30
    • 文件大小:1682432
    • 提供者:
  1. DDS

    0下载:
  2. 《DDS 原理简介》,DDS即直接数字频率合成器原理简介及系统设计与实现- DDS Principle , DDS direct digital synthesizer Principle and System Design and Implementation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-30
    • 文件大小:454656
    • 提供者:范田田
  1. DDS

    0下载:
  2. 《DDS原理简介(中文)》DDS即直接数字频率合成器,原理及系统设计实现- DDS Principle Introduction (Chinese) DDS direct digital frequency synthesizer, the principle and system design to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-30
    • 文件大小:454656
    • 提供者:范田田
  1. dds

    0下载:
  2. 在opengl下加载DDS纹理文件的源程序,支援DXT-1,DXT-3和DXT-5等标准。-Under the load in the opengl texture file DDS source code, support DXT-1, DXT-3 and DXT-5 and other standards.
  3. 所属分类:OpenGL

    • 发布日期:2024-04-30
    • 文件大小:34816
    • 提供者:mim
  1. dds

    0下载:
  2. dds移相信号发生器 VHDL语言代码-dds
  3. 所属分类:软件工程

    • 发布日期:2024-04-30
    • 文件大小:384000
    • 提供者:hanoi
  1. dds

    0下载:
  2. matlab下实现的DDS发生器,可观察其发生信号的波形,频谱。 运行前请先输入 global theta theta=0 -matlab implementation of the DDS generator, may happen to observe the signal waveform, spectrum. Please enter a pre-operational global theta theta =
  3. 所属分类:通讯编程

    • 发布日期:2024-04-30
    • 文件大小:10240
    • 提供者:yanli
  1. DDS

    0下载:
  2. 这里的DDS(直接数字合成)是我在MATLAB中用Simulink基本模块搭建起来的模型,仿真DDS信号的产生,并有一个M文件实现与模型同等的功能,MATLAB爱好者一定不要错过-I build the DDS model using simulink basic model to simulate the DDS source, associated a M file realizing the same function as
  3. 所属分类:matlab例程

    • 发布日期:2024-04-30
    • 文件大小:21504
    • 提供者:彭怀敏
  1. hem.dds

    0下载:
  2. dds编程代码 希望对别人有帮助 其功能是根据dds的原理编写,实现其功能模块-dds vhdl
  3. 所属分类:其他小程序

    • 发布日期:2024-04-30
    • 文件大小:17408
    • 提供者:黄丹
« 1 2 34 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org