搜索资源列表

  1. fifo1616

    0下载:
  2. FIFO先入先出堆栈,包括三个子程序,可根据需要选择-FIFO first in-first stack, including three subprogram, according to choose
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4799
    • 提供者:陈正一
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse freq
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. avalon_slave_pwm

    0下载:
  2. NIOS环境PWM的USER LOGIC实例1-NIOS environment PWM USER an example LOGIC
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1637
    • 提供者:黄建生
  1. reg_file

    0下载:
  2. NIOS环境PWM的USER LOGIC实例3-NIOS environment PWM USER Logic Example 3
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2340
    • 提供者:黄建生
  1. CAN协议控制器的Verilog实现

    0下载:
  2. 基于FPGA的CAN总线控制器,VERILOGHDL源代码,Q2仿真实现。可用。-FPGA-based CAN Bus Controller, VERILOGHDL source code, Q2 Simulation. Available.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:39048
    • 提供者:wl
  1. lcd_controller

    0下载:
  2. CFAH1602BNYAJP液晶的fpga控制程序-CFAH1602BNYAJP they simply control procedures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2377
    • 提供者:陈世利
  1. uart_verilog_v1

    0下载:
  2. uart d的verilog 程序,可以实现普通串口功能-UART d Verilog procedures can be achieved ordinary serial port function
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:5419
    • 提供者:梁启
  1. C_16450_edit

    0下载:
  2. 16450异步通讯接口,ALDEC提供,修正版(由网友zhy修改,修正一些错误-16450 asynchronous communications interface, providing ALDEC, the revised version (from netizens. Changes amendments to some errors
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:7024
    • 提供者:梁启
  1. crc_verilog_xilinx

    1下载:
  2. CRC校验码,用于对数据流进行crc校验。 主要有CRC_16,CRC_8,CRC_32校验。 所用语言为Verilog HDL.-CRC code for the data flow crc check. Main CRC_16, CRC_8, CRC_32 check. The language used for Verilog HDL.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:10947
    • 提供者:*
  1. Verilog_traffic

    0下载:
  2. Verilog 的交通灯的例子。源代码中有详细的注释。-Verilog traffic lights examples. The source code for detailed comments.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:123720
    • 提供者:徐勇
  1. RD1006

    0下载:
  2. VHDL编程 : out std_logic -- Transmitter control DataBits : in std_logic_vector(1 downto 0) StopBits : in std_logic_vector(1 downto 0) ParityEnable: in std_logic ParityEven : in std_logic ParitySti
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:18460
    • 提供者:谢强
  1. control0

    0下载:
  2. systemverilog编写的cpu读写mem程序-SystemVerilog prepared by the cpu readers mem procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1017
    • 提供者:王晓波
  1. fifo0

    0下载:
  2. systemverilog编写的fifo例子-SystemVerilog examples prepared by the fifo
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:923
    • 提供者:王晓波
  1. alu_inverter

    0下载:
  2. 4bit ALU 利用vhdl语言编写的4位ALU 开发环境是在windows下-Band ALU using VHDL language prepared by the four ALU is a development environment under Windows
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:18290
    • 提供者:bob
  1. sale2

    0下载:
  2. sale,自动收获机。首先投币,然后买东西,然后退币-sale, automatic harvester. The first coin, and then buy something, and then coin
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1217
    • 提供者:杨小坤
  1. ADD_SUB

    0下载:
  2. 11,13,16位超前进位加法器的Verilog HDL源代码。-11,13,16-CLA for the Verilog HDL source code.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4121
    • 提供者:周金喜
  1. uart2

    0下载:
  2. uart 通用异步接受机 编译环境为quartus-UART Universal Asynchronous Receiver and build environment for Quartus
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:197052
    • 提供者:雷鸣
  1. Traffic_Light_Final

    0下载:
  2. Traffic light written with Verilog-written with Verilog
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1002694
    • 提供者:吴意曦
  1. final_code

    0下载:
  2. mining source code written in Verilog
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:21116
    • 提供者:吴意曦
  1. mt48lc2m32b2

    0下载:
  2. SDRAM控制核,已经经过测试,完全可以稍加修改后应用-SDRAM control nuclear, has been tested, we can use a slightly modified
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9869
    • 提供者:洪戈
« 1 2 34 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org