搜索资源列表

  1. seg_led_rtl

    0下载:
  2. 使用FPGA控制数码管,在数码管上动态的显示数字,很使用,可以直接作为其他模块的子模块,直接调用-FPGA use of digital control in the digital tube dynamic display figures that use, direct module as other sub-module, called directly
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7747
    • 提供者:wpb3dm
  1. pro001_buzzer

    0下载:
  2. 使用FPGA控制蜂鸣器的程序,用Verilog HDL设计,可以是蜂鸣器发出各种不同的声音-FPGA use buzzer control procedures, using Verilog HDL design, it is the buzzer sounded different voices
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:779627
    • 提供者:wpb3dm
  1. dds_quicklogic

    0下载:
  2. 高手写的VHDL源码,实现DDS跳频器功能 请大家多提意见-experts write VHDL source code, the frequency-hopping DDS functionality Please speak up
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:25440
    • 提供者:duyi
  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. colorchange

    0下载:
  2. 用verilog hdl实现色彩空间转换,rgb到ycbcr-with Verilog HDL achieve color space conversion, rgb to RS
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:翟博
  1. usb_ctr

    0下载:
  2. usb的verilog 代码。对理解usb的原理有很大帮助,并可以在nc环境下仿真。-usb the Verilog code. Usb to understand the principle is very helpful, and to be nc simulation environment.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:53411
    • 提供者:hongbo
  1. USB2_chip

    0下载:
  2. USB2.0 chip的一部分verilog源码。opencore上下的,还比较好用:)-USB2.0 chip part of Verilog source. Opencore ish, but also better quality :)
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:36519
    • 提供者:戴鹏
  1. Usb_RTL(VHDL_Verilog)

    0下载:
  2. USBRTL电路的VHDL和Verilog代码-USBRTL Circuit VHDL and Verilog code
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:268958
    • 提供者:戴鹏
  1. RS232-for-vdhl

    0下载:
  2. RS232通讯VHDL源代码,MAXPLUS 2环境执行通过-RS232 communications VHDL source code, Segments 2 environment through implementation
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:162360
    • 提供者:lq
  1. generic_fifo

    0下载:
  2. 这是从opencores下的fifo代码,包括了异步和同步的,还有写的testbench,希望对大家有用.-This is opencores fifo under the code, including asynchronous and synchronous. There testbench written in the hope that useful for all.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:21078
    • 提供者:daiowen
  1. statem

    0下载:
  2. 元件例化与层次设计,verilog 实例说明-components cases with the level of design, Verilog example
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:863
    • 提供者:赵英军
  1. 1091516677

    0下载:
  2. PCI总线仲裁参考设计Verilog代码-PCI bus arbitration reference design Verilog code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:3673
    • 提供者:熊熊
  1. 148个verilog hdl小程序(有很多testbench)——

    5下载:
  2. 148个verilog hdl小程序(有很多testbench)——.-148 Verilog HDL small programs (many testbench) from Part
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:56068
    • 提供者:地方
  1. Verilog_add4

    0下载:
  2. add4 verilog code -add4 Verilog code
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1390
    • 提供者:zhang chi
  1. dct_mac

    0下载:
  2. dct verilog code for image -Extra Verilog code for image
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1916
    • 提供者:zhang chi
  1. trafficontrol

    0下载:
  2. 使用verilog编写的交通灯控制程序,各方向通行时间可调,绿灯5s闪烁,在maxplus下调试通过,附仿真波形,在EP系列实验板上测试成功-use Verilog prepared by the traffic lights control procedures, the passage of time adjustable direction, green 5s flickered in maxplus under debuggin
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:113694
    • 提供者:礼拜
  1. i2c8

    0下载:
  2. I2c 总线测试程序,经过多次验证的.包括读写模式(第一次上传)-I2c bus testing procedures after several tested. Including reading and writing mode (the first uploading)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:Jack
  1. YCbCr_to_rgb

    0下载:
  2. 颜色空间转换代码,ycbcr对rgb的转换verilog代码.YCBCR的格式是ITU601格式.-color space conversion code, RS right rgb conversion Verilog code. YCBCR format is ITU601 format.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1254
    • 提供者:Jack
  1. frame_decode_and_encode

    0下载:
  2. 一个用Verilog编写的编帧、解帧及码速匹配的程序,相当经典-Verilog prepared with a series of fr a mes, fr a mes and solutions yards speed matching procedures, rather classic!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3351
    • 提供者:李全
  1. WM8731

    0下载:
  2. 高品质音频编解码器WM8731的Verilog使用程序。-high-quality audio codec WM8731 Verilog procedures.
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:7314
    • 提供者:李全
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org