搜索资源列表

  1. SDRAM_C

    0下载:
  2. SDRAM控制核,已经经过测试,完全可以稍加修改后应用-SDRAM control nuclear, has been tested, we can use a slightly modified
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1658
    • 提供者:洪戈
  1. time_clock

    0下载:
  2. 实用闹钟的verilog代码。不是vhdl的!经过ldv验证-practical alarm the Verilog code. VHDL is not! After certification ldv
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4206
    • 提供者:徐哦俄
  1. cal_verilog

    0下载:
  2. 计算器芯片的verilog实现代码! 时序仿真成功-calculator chips to achieve the Verilog code! Timing simulation success
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6261
    • 提供者:徐哦俄
  1. SVDrink

    0下载:
  2. Systemverilog 编写的贩卖机代码-Systemverilog preparation for the sale code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7445
    • 提供者:开开
  1. Lab_ISE_Led

    0下载:
  2. vhdl实例教程,其中的例子适合新手演示使用,肯定会有帮助的。-VHDL example tutorial, an example of the use for novice demo, it will certainly help.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:779835
    • 提供者:ghjghj
  1. cpldtraffic

    0下载:
  2. 交通灯信号的fpga实现。通过verilog语言编程,在fpga上调试通过。-traffic signal lights they simply achieve. Through the Verilog language programming, they simply passed on debugging.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:948
    • 提供者:王海
  1. fpgasong

    0下载:
  2. 以verilog HDL 语言编写的一首歌曲,可供初学者借鉴-to Verilog HDL language of a song, draw for beginners
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:951
    • 提供者:王海
  1. calendar_clock

    0下载:
  2. 用verlog HDL写的电子日历,可以显示年,月,日和时间,具有闹铃的功能-using HDL to write electronic calendar, it shows the year, month, day and time, with alarm function
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1785632
    • 提供者:zz
  1. key_scan1

    0下载:
  2. 用verilog实现的四乘四键盘程序,在Quartus II上编译通过并成功-achieved using Verilog 4 x 4 keyboard procedures, the Quartus II compiler on the adoption and successful
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:595105
    • 提供者:大圣
  1. generic_fifos

    0下载:
  2. 用HDL语言编写的通用fifo源码,通过对fifo的宽度和深度进行配置,可以产生我们所需要的fifo,还包括fifo的测试程序和仿真Makefile脚本-with HDL prepared by the General fifo source, fifo of the breadth and depth configuration, can produce what we need fifo. also included fifo te
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:26405
    • 提供者:崔崔
  1. USB2.0_rtl_ipcore_verilog

    0下载:
  2. 经过门级网单验证的USB2.0 IP核 RTL代码-net after gate-level verification of USB IP Core RTL code
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:62383
    • 提供者:王椿棠
  1. adder16bit

    0下载:
  2. 16位高速加法器,采用verilog语言编写,已经成功仿真,能够运行
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2205
    • 提供者:modelsims
  1. statemachine_mult

    0下载:
  2. veilog实现的状态机乘法器.可以参考-veilog achieve the state machine multiplier. Can reference
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:436406
    • 提供者:陶玉辉
  1. VSR4_3

    0下载:
  2. 甚短距离互联(Veryshort reach VSR)协议编成实现-very short distance from the Internet (Veryshort reach VSR) composition to achieve agreement
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:14389
    • 提供者:葛琳
  1. asi

    1下载:
  2. 在公司做的一个用FPGA实现的数字电视系统中 ASI转TS流的程序-done in the company of an FPGA using the digital television system to ASI TS flow procedures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3722
    • 提供者:无朝
  1. counter_7seg

    0下载:
  2. 带分频器的bcd计数电路设计,verilog源码-dividers with the bcd count circuit design, Verilog source
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:292676
    • 提供者:倪璠
  1. Arbiter

    1下载:
  2. Arbiter.v verilog实现 三路请求,使用循环策略的仲裁器 含有看门狗电路-Arbiter.v Verilog achieve three road request, the use of recycled strategy for containing the arbitration watchdog circuit
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1956
    • 提供者:夏虫
  1. pipemult

    0下载:
  2. 该源码实现了一个8*8位的乘法器,在实现的过程中用到了宏单元-the source to achieve an 8 * 8 Multiplier that in the process modules used Acer
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:341884
    • 提供者:倪璠
  1. ASCI_TRAFFIC_LIGHT

    0下载:
  2. 用VERLOG实现交通灯程序,有红绿两种灯,绿灯到红灯,路灯闪10秒,可以调整红绿灯持续时间-VERLOG achieve with traffic lights procedures, two black lights, the green light to red lights, flashing lights for 10 seconds, can be adjusted duration of traffic lights
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:965
    • 提供者:王天
  1. lpt03

    0下载:
  2. 这也是8255的设计,不知道是否好使,希望得到验证-This is 8255 in the design, so I do not know whether the hope of gaining certification
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:278334
    • 提供者:runclever
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org