搜索资源列表

  1. 16bit-CLA

    0下载:
  2. 16 bit carry look ahead adder verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:praveen
  1. adder

    0下载:
  2. 通过四个半加器的互联,来实现四位加法器的电路结构-Through the interconnection of four and a half adder to achieve the four adder circuit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-29
    • 文件大小:45056
    • 提供者:张哈
  1. csa1

    0下载:
  2. carry save adder block1
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:siva
  1. csa3

    0下载:
  2. carry save adder block3
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:siva
  1. Lookahead-adder

    0下载:
  2. 超前进位加法器,可以实现提前实现进位,加速算法。-Lookahead adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:23552
    • 提供者:tom
  1. adder

    0下载:
  2. adder 32 is very easy to use adder 32 is make up by 4 adder 4 and i have nothing to say already~!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:792576
    • 提供者:sofat
  1. Full-Adder

    0下载:
  2. Full Adder to add 4 bits of input
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:med7at2010
  1. adder

    0下载:
  2. 加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。-The number of adder is produced and device. Addend and BeiJiaShu as input, and the device for output with binary for half a gal device. If BeiJiaSh
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:302080
    • 提供者:张凯
  1. carry-ripple

    0下载:
  2. carry ripple adder code (whole project) in vhdl using xilinx tool. VHD file has source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:303104
    • 提供者:aaqib
  1. Lab-Sharp-4

    0下载:
  2. A full adder with non-uniform csa
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-04-29
    • 文件大小:38912
    • 提供者:Babar Jamil
  1. serial-adder

    0下载:
  2. VHDL code for adding two hard-coded 8-bit binary numbers
  3. 所属分类:软件工程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:harsha
  1. serialadder

    0下载:
  2. serial adder in behavioural model
  3. 所属分类:软件工程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:harsha
  1. adder-VerilogHDL

    0下载:
  2. 各种加法器的VerilogHDL语言编写的包括普通加法器,串行进位加法器,超前进位加法器等-Adder VerilogHDL various languages, including ordinary adder, serial carry adder, CLA, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:王体奎
  1. ADDER

    0下载:
  2. 基于vhdl硬件描述语言设计的加法器电路 -Hardware descr iption language design based on vhdl adder circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:22528
    • 提供者:橡树
  1. digital-adder-source-code

    0下载:
  2. FPGA的Altera Quartus II 利用汇编语言实现加法器数码管的现实程序源代码-The Altera Quartus II FPGA using assembly language to achieve the reality of digital adder source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:428032
    • 提供者:nanana
  1. hdl-hw1-brent-kung-adder

    0下载:
  2. BRENT KUNG ADDER 4 bits
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:dumbmage
  1. cla-adder

    0下载:
  2. cla adder code in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:nirjhar
  1. Adder

    0下载:
  2. VHDL语言设计的加法器,在试验箱上使用8个拨码开关设置要加的2个数,按键按下输出相加的结果,在试验箱上测试通过。-Adder VHDL language design, in the chamber using the DIP switch setting 8 to 2 to add the number of keys pressed result of the addition output of the chamber on t
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:李志强
  1. adder_32bits

    0下载:
  2. 32位进位选择加法器,预置逻辑0和逻辑1,各模块并行运行,只要通过进位位选择逻辑0或者逻辑1即可,提高了运行速度。-32-bit carry select adder, preset logic 0 and logic 1, the modules run in parallel, as long as through the carry bit selection logic 0 or logic 1 can improve the
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:399360
    • 提供者:JTEven
  1. adder

    0下载:
  2. 较好的加法器VHDL代码,大家需要可以下载,谢谢。-Better adder VHDL code, we need to download, thank you.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:154624
    • 提供者:小刚
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org