搜索资源列表

  1. add4bit

    0下载:
  2. 一位全加器的VHDL源码与TEST BENCH.XILINX下通过-A full adder and the VHDL source code through TEST BENCH.XILINX
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:813056
    • 提供者:祁才君
  1. FPGA

    0下载:
  2. 基于FPGA数字乘法器的设计:数字乘法嚣是目前数字信号处理中运用最广泛的执行部件之一,本文设计了三种基于FPGA 的数字乘法器.分别是移位相加乘法嚣、加法器树乘法器和移位相加一加法嚣树混合乘法器。通过对三种方案的仿真综合以厦速度和面积的比较指出了混合乘法器是其中最佳的设计方案-FPGA-based digital multiplier design: the number of multiplicative noise is the u
  3. 所属分类:软件工程

    • 发布日期:2024-04-29
    • 文件大小:147456
    • 提供者:南才北往
  1. systemc

    0下载:
  2. Systemc实现一个加法器,一个乘法器,一个十选一器,并在testbench内检测其正确性。 适用于systemc入门。-Using Systemc for the realization of a adder, a multiplier, a decimator, and within a testbench for their functionalities . Designed for Systemc or C++ beg
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:安丽华
  1. HalfAdderDesign

    0下载:
  2. Half Adder Using Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:hallowen
  1. fpadd

    0下载:
  2. Floating point adder
  3. 所属分类:Windows编程

  1. adder

    0下载:
  2. This the adder VHDL code, it contains input and output fild, also simulate file-adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:5120
    • 提供者:hongwan
  1. lab7

    0下载:
  2. 在這個實習當中,我們學習利 用 Hierarchical VHDL code 的方式,來 實現一 個n-bit 的ripple-carry adder,並學習使用package。-In this practice among the profit we can learn to use Hierarchical VHDL code the way to achieve an n-bit future of t
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-04-29
    • 文件大小:82944
    • 提供者:徐小華
  1. adder

    0下载:
  2. 用vhdl实现加法器的功能,程序简介高效,移植性强-Vhdl adder with the realization of the function, procedures for efficient, portable and strong
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:keyes wang
  1. four_adder

    0下载:
  2. 应用一位全加器的VHDL语言,创建一位全加器符号,用原理图完成四位全加器-Application of a full adder VHDL language, to create a full-adder symbol, with the principle of the completion of four full adder diagram
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:149504
    • 提供者:安博
  1. adder

    0下载:
  2. 加法器,简单的加法计算器程序,用vb语言实现-Adder, a simple addition calculator program using vb language implementation
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-04-29
    • 文件大小:5120
    • 提供者:邹诗
  1. addersandsubtractors

    0下载:
  2. this project is based on half adder ,full adder,half subtractor and full subtractor using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus t
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:65536
    • 提供者:jatab
  1. adder

    0下载:
  2. vhdl adder with two input 4-bit and output of 4 bits and carry
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:querias
  1. ADDER

    0下载:
  2. VHDL语言的带控制端口的加法器,实现加法运算。-VHDL language, with a control port of the adder to achieve addition operation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:22528
    • 提供者:piikee
  1. adder

    0下载:
  2. 加法器程式設計,這是利用verilog寫的-adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:10240
    • 提供者:鍾潤宏
  1. RA

    0下载:
  2. ripple adder 程式撰寫,此利用verilog撰寫-ripple adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:鍾潤宏
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-04-29
    • 文件大小:44032
    • 提供者:394177191
  1. flowvhdl

    0下载:
  2. 16 bit adder source code.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:128000
    • 提供者:midhunraj
  1. cla

    0下载:
  2. Carry Look ahead adder
  3. 所属分类:软件工程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:Senthil Kumar
  1. bitbcdadder

    0下载:
  2. bcd adder implemented in three models of vhdl
  3. 所属分类:文件格式

    • 发布日期:2024-04-29
    • 文件大小:59392
    • 提供者:sathishkumar
  1. Carrylookaheadadder

    0下载:
  2. carry look ahead adder implented in 3 models of vhdl-carry look ahead adder implented in 3 models of vhdl
  3. 所属分类:文件格式

    • 发布日期:2024-04-29
    • 文件大小:47104
    • 提供者:sathishkumar
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

源码中国 www.ymcn.org