搜索资源列表

  1. bc_6

    0下载:
  2. 实现6位数据宽度的并串转换,编译和仿真完美实现,编程环境Quartus.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1698
    • 提供者:kehaiying
  1. 1253

    0下载:
  2. 基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3264
    • 提供者:Hargie
  1. 单片机串口编程

    0下载:
  2. 单片机串口编程---包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-12-13
    • 文件大小:9824
    • 提供者:dqjyong
  1. 基于FPGA的串并转换程序

    1下载:
  2. 基于FPGA的串并转换程序,8位并行。调试仿真成功,内附仿真波形文件
  3. 所属分类:串口编程

    • 发布日期:2011-11-10
    • 文件大小:1857669
    • 提供者:zwp0822@126.com
  1. 90s2313Mega8 USB接口转换 RS-232串行接口

    0下载:
  2. 使用m8做的usb接口转换,这里提供串口的,还可以并口的.-Teenagers do use the USB interface conversion, the serial provided here, but also the parallel port.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:3637248
    • 提供者:黄天明
  1. 串并转换

    0下载:
  2. 用汇编写的串并转换程序,在masm 下调试-was compiled using the conversion and string, can debug
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:许枫
  1. Parall_transfer_seior

    0下载:
  2. 此两文件是在MAXplusII环境下开发并运行通过的VHDL文件,实现了并串口转换功能。-this document is in two MAXplusII environment through the development and operation of the VHDL documents, and the realization of serial conversion function.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:郭春吉
  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:416768
    • 提供者:赵冉
  1. serialrxtx

    0下载:
  2. 个人原创,已经测试通过。功能:完成串行数据与RS232格式数据的收发转换,ST16C450+串并双向转换兼收发时序产生功能,优点:省去了传统的ST16C450需要CPU干预的缺点,简化设计, 纯硬件自动转换,缺点:忽略各种异常报警,适用于误码测试时使用(传输错误由误码测试功能模块完成检测)。-Personal originality, have the test. Function: the completion of serial
  3. 所属分类:串口编程

    • 发布日期:2024-05-18
    • 文件大小:26624
    • 提供者:fg0112
  1. parell_to_serial

    0下载:
  2. 该模块主要完成并串转换功能。其中system_clk是输入并行时钟的频率,它是串行时钟serial_clk的八倍。byte_data_en是输入并行数据使能信号,byte_data是输入并行数据。serial_data是转换后的串行数据,bit_data_enable是串行数据有效信号。-The module main is completed and the string conversion functions. System_cl
  3. 所属分类:Windows编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:huangdecheng
  1. A8

    0下载:
  2. 两个进程的并串转换设计,VHDL的Xilinx的开发环境-Two processes and string conversion design, VHDL for Xilinx development environment
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:45056
    • 提供者:高阳
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:ted yang
  1. serial2parallel

    0下载:
  2. 自己用的simulink串并,并串转换子系统-serial to parallel
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-18
    • 文件大小:12288
    • 提供者:温三宝
  1. par2ser

    0下载:
  2. 并/串转换器即并行输入、串行输出转换器,例如一个8bit输入的并/串转换器,输出时钟频率是输入时钟频率的8倍,输入端一个时钟到来,8个输入端口同时输入数据;输出端以8倍的速度将并行输入的8bit串行输出,至于从高位输出还是从低位输出,可以再程序中指定。-And/or parallel series converter input, serial output converter, for example, a 8bit input an
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:赵军
  1. rs232

    0下载:
  2. 串口232程序,实现并串转换及相应的操作-Serial 232 program, to achieve and string conversion and the corresponding operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:mofanvshen
  1. shift8

    0下载:
  2. 用VHDL语言在QUARTUS环境下开发,功能是并串转换移位寄存器-Using VHDL language QUARTUS development environment, and the string conversion function is the shift register
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:239616
    • 提供者:李欣
  1. 5b6b

    0下载:
  2. 5B6B码是光纤数字通信系统中使用比较广泛的一种线路码型! 数据经过5B6B编码和并串转换后在光纤上传输,串行码序列中连续的比特0或比特1的长度不超过5,数据在0和1之间变换的密度很高,并具有直流平衡的特性,有利于接收电路和时钟恢复电路的设计。-5B6B code is used in fiber optic digital communication systems a more extensive line pattern! Dat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:3072
    • 提供者:王彬
  1. c_bchange

    0下载:
  2. 实现数据的串行转并行运算,并连续转换,每转换16个数据后,发出一个使能信号-Serial transfer of data parallel computing, and continuous change, each of 16 data conversion, issue an enable signal
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:郭金强
  1. SHFRT1_4

    0下载:
  2. 4位并入串出移位寄存器,实现并串转换,简单易行,通过时序验证.-4-bit shift register into the string out to achieve and string conversion, simple, through sequence verification.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:204800
    • 提供者:寒星
  1. OFDM

    0下载:
  2. OFDM下行的仿真1、产生要传输随机数; 2、进行调制; 3、串并转换; 4、进行IFFT操作(代码中有用到共轭对称向量的IFFT为实数进行简化计算); 5、增加循环前缀; 6、并串转换; 7、输出前滤波; 8、增加信道噪声(加性高斯白噪声); 9、接收端串并转换; 10、去除循环前缀; 11、进行FFT变换; 12、信号解调; 13、误比特率计算; -OFDM
  3. 所属分类:matlab例程

    • 发布日期:2024-05-18
    • 文件大小:112640
    • 提供者:刘洋
« 1 2 34 5 6 7 8 9 10 ... 28 »

源码中国 www.ymcn.org