搜索资源列表

  1. mult88

    0下载:
  2. 两个8*8矩阵相乘,每个矩阵内部元素相同,简化运算;modelsim编译仿真,ise或vivado下载,实现FPGA显示。(Two 8*8 matrix multiplication, each element of the same matrix, simplifying the operation; Modelsim compiler simulation, ISE or vivado download, to achieve FP
  3. 所属分类:并行运算

    • 发布日期:2024-05-06
    • 文件大小:7168
    • 提供者:xx.
  1. lab1

    0下载:
  2. Verilog lab1 is used for learning vivado
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-06
    • 文件大小:1024
    • 提供者:Chappa
  1. gate_test

    0下载:
  2. 使用vivado hls 对GATE代码进行封装,主要调试stream接口(using vivado hls to archieve GATE syn, to debug the AXI4-stream interface)
  3. 所属分类:并行运算

    • 发布日期:2024-05-06
    • 文件大小:2184192
    • 提供者:beny270
  1. LAB2

    0下载:
  2. zynq上实现流水灯的软硬件协同设计,利用vivado 2015.2版本eda软件开发。(Zynq realizes the design of hardware and software of water lamp, and uses vivado version 2015.2 EDA software to develop it.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:198656
    • 提供者:Dangbingjoe
  1. PUB

    0下载:
  2. 使用xlilnx的vivado为基础环境开发的示波器,采用串口屏显示图像(Using xlilnx vivado based environment development oscilloscope, using serial display images)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-06
    • 文件大小:69641216
    • 提供者:落落花开
  1. ps_bram

    0下载:
  2. 通过ZYNQ的PS部分读写片上BRAM存储器(Read and write on-chip BRAM memory via the PS portion of the ZYNQ)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:22142976
    • 提供者:abc5300322
  1. mem_wr

    0下载:
  2. 通过ZYNQ的PS部分读写DDR3存储器(Read and write the DDR3 memory via the PS portion of the ZYNQ)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:22280192
    • 提供者:abc5300322
  1. DigitalFrequencyMeter

    0下载:
  2. 使用Basys3开发板,采用等精度测频方法实现信号的测频并通过LCD1602显示。(The use of Basys3 development board, the use of equal precision frequency measurement method to achieve signal frequency measurement, and through the LCD1602 display.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1327104
    • 提供者:yaris
  1. sim_Xilinx综合与仿真设计指导

    0下载:
  2. Xilinx自己出的仿真设计指导,使用vivado工具必备参考资料。(The Synthesis and Simulation Design Guide provides a general overview of designing Field Programmable Gate Array devices using a Hardware Descr iption language. It includes design hints
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1702912
    • 提供者:PandaWarrior
  1. src

    0下载:
  2. 用于国密4的加解密算法实现,采用verilog 语言,可进行vivado仿真,vivado版本是2013,结果经测试正常,适合从事相关行业的工作人员进行借鉴和开发。(The code is realized and simulated by verilog. The simulation result has been confirmed by the author. It is recommended to download by t
  3. 所属分类:加密解密

    • 发布日期:2024-05-06
    • 文件大小:23552
    • 提供者:yisuoyanyuwhr
  1. ug897-vivado-sysgen-user

    0下载:
  2. FPGA和matlab关联用到的文档,很有帮助,大家可以学习一下(FPGA and matlab associated with the document, very helpful, we can learn about it)
  3. 所属分类:文档资料

    • 发布日期:2024-05-06
    • 文件大小:5902336
    • 提供者:无懈可击
  1. hf_mot

    0下载:
  2. 电机驱动及编码器同步采样,内部兼具多重滤波采样处理算法。(Motor drive and encoder synchronous sampling, the internal multi filter sampling and processing algorithm.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:11441152
    • 提供者:he
  1. XilinxFree.lic

    0下载:
  2. 这是许可在Xilinx Vivado 2015利用免费的IP核生成(This is the license to utilize free IP core generation in Xilinx Vivado 2015)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1024
    • 提供者:haider87
  1. project_1

    0下载:
  2. 在FPGA上实现一个流水灯,包括端口设定等(On FPGA to achieve a water led, including port settings)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:562176
    • 提供者:杨云霄
  1. project_2

    0下载:
  2. simple gates using ip integrator from xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:283648
    • 提供者:yousif2048
  1. ps_ps_test

    0下载:
  2. 跑马灯,在vivado平台模拟,zybo开发板实现(Water lights, simulations in vivado platform, zybo development board implementation)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:23471104
    • 提供者:月与海
  1. clock

    0下载:
  2. 一个简单的24h时钟,包含开机、关机、暂停、置数功能,以及整点时脉冲响五次(a simple digital clock implemented on Vivado)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-06
    • 文件大小:666624
    • 提供者:Vivado la vida
  1. Xilinx新一代FPGA设计套件Vivado配套资料

    0下载:
  2. verilog经典教程,入门者的必选书籍,非常实用,可以学习到很多的知识(verilog classic tutorial, entry must be books, very practical, you can learn a lot of knowledge)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:65455104
    • 提供者:echozlx
  1. temp

    0下载:
  2. 掌握时间一直是人们最基本的需求,而在快节奏的当今社会,时间更是一个很重要的工具。电子时钟是利用电子技术构成时钟功能的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,拥有更长的寿命,因此现在越来越得到广泛的使用。按照系统设计功能的要求,系统分为综合计时模块,数据调整模块,红外接收解码模块以及显示模块等4个模块,其中综合计时模块又包含7个子模块(年、月、日、星期、时、分、秒),每个子模块都具有预置,计数和进位的功能。(Time
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1362944
    • 提供者:niconi
  1. prova_ped

    0下载:
  2. project for test in PED currently going through the course
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:592896
    • 提供者:gtbteixeira
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 »

源码中国 www.ymcn.org