搜索资源列表

  1. VHDL

    0下载:
  2. 实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果。在QuartusII平台上设计程序和仿真题目要求,并下载到实验板验证实验结果。-Achieve a 10-second countdown circuit, requires the use of 8* 8 dot matrix display timing results. QuartusII platform in the design process and simulat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:404480
    • 提供者:li
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 im
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:736256
    • 提供者:wang
  1. ram

    0下载:
  2. 一些设用vhdl设计ram的资料,请下载看看吧-Vhdl design with a number of ram-based information, please download to see it
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:18432
    • 提供者:陳彥丞
  1. vhdl

    0下载:
  2. 出租车计费系统的设计 2.1 出租车计费器工作原理 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元)
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-15
    • 文件大小:133120
    • 提供者:635001
  1. Turbo

    0下载:
  2. 一种新的turbo码的交织编码器的vhdl设计,用的是螺旋输入。-something about turbo
  3. 所属分类:文档资料

    • 发布日期:2024-05-15
    • 文件大小:305152
    • 提供者:xixi
  1. dianti

    0下载:
  2. 电梯的VHDL设计,上面有源代码,希望对大家有用-VHDL elevator design, source code above, I hope useful for everyone
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:162816
    • 提供者:
  1. VHDL

    0下载:
  2. VHDL的课件,其中包含了丰富的VHDL设计实例,是一个很好的EDA学习教程。-The VHDL software, which contains a wealth of VHDL design example, the EDA is a very good learning tutorial.
  3. 所属分类:教育/学校应用

    • 发布日期:2024-05-15
    • 文件大小:814080
    • 提供者:bird
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:220160
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. VHDL设计实体的基本结构 VHDL的语言要素 用VHDL实现电路设计的方法 VHDL设计流程-VHDL design entities, the basic structure of the language element of VHDL using VHDL circuit design approach to achieve VHDL design flow
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:50176
    • 提供者:李明
  1. fir_lut

    0下载:
  2. fir的vhdl设计,相信可以触类旁通,得到您需要的fir滤波器设计-fir vhdl
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:Carlin
  1. VHDL

    0下载:
  2. 电子密码锁设计,可以改为其他原理相似的设计,比如和汽车安全系统相关的毕业设计-The design of electronic locks can be replaced by other theories of similar design, and automotive safety systems such as the graduation project related
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:254976
    • 提供者:孙晓林
  1. VHDL

    0下载:
  2. 数字逻辑基础与Verilog设计,针对verilog语言的特点,讲解了很多例子!-Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1943552
    • 提供者:kudi5566
  1. motorcontrol(vhdl)

    0下载:
  2. 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。-FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 cont
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6144
    • 提供者:dong
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHD
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:312320
    • 提供者:
  1. vhdl

    0下载:
  2. 自动打铃系统的VHDL设计中的状态机,自己已经检验过了完全正确-STATEMACHING OF AUTO RING WITH VHDL.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:25600
    • 提供者:may
  1. DMA_8237A

    0下载:
  2. 经典DMA控制器8237A的VHDL设计,对设计DMA控制器有很高的参考价值。-Classic DMA controller 8237A of the VHDL design, the design of the DMA controller has a high reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:12288
    • 提供者:neversee
  1. vhdlCompetition

    0下载:
  2. 用VHDL设计四人抢答器,vhdl学习的基础,很好用-vhdl competition
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:387072
    • 提供者:吴小平
  1. voter

    0下载:
  2. 用VHDL语言设计三人表决器 新建VHDL设计文件并保存 检查编译 波形仿真 -Design using VHDL language VHDL three new voting system for the design document and save it to check the compiler waveform simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:33792
    • 提供者:米石
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:qiumh
  1. vhdl

    0下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:61440
    • 提供者:WX
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org