搜索资源列表

  1. zsjs20070890

    0下载:
  2. 基于VHDL8路抢答器系统设计报告,7128S芯片的,有需要的朋友可以-Answer based on the way VHDL8 system design report, 7128S chips, there is a need to be friends
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:227328
    • 提供者:麦佳
  1. vhdl

    0下载:
  2. VHDL教程 ppt版 绪论 第一章 VHDL基本结构 第二章 VHDL语言元素 第三章 VHDL的描述风格 第四章 VHDL的主要描述语句 第五章 组合逻辑电路设计 第六章 时序逻辑电路设计-Ppt version of VHDL Tutorial VHDL Introduction Chapter I Chapter II the basic structure of VHDL language elem
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1081344
    • 提供者:陈松
  1. dianziqin

    0下载:
  2. 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:124928
    • 提供者:haiyang
  1. DS18B20FPGA

    0下载:
  2. VHDL设计的ds18b20的测温程序,欢迎测试请不要直接复制,可能不好显示。-VHDL design of the measurement procedures DS18B20 welcome Please do not directly copy the test may not show.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:7168
    • 提供者:aaaa
  1. FPGA_experience

    0下载:
  2. VHDL设计的经验,高人手记,让你在编写VHDL代码时避免不应用的错误和修改错误。-VHDL design experience, an expert notes, let you in the preparation of VHDL code should not be used to avoid errors and to amend the error.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:50176
    • 提供者:chendsh
  1. light

    1下载:
  2. 利用Altera公司FPGA芯片,设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。 内容、要求: 1、汽车正向行驶,指示灯全灭。 2、右转,右侧三灯循环点亮。 3、左转,左侧三灯循环点亮 4、临时刹车,指示灯同时闪烁。 6故障停车时所有尾灯亮起。 -The use of Altera Corporation FPGA chips, the design of a car taillight cont
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:258048
    • 提供者:leo
  1. VHDL

    0下载:
  2. 一些小的程序设计,解压后文件包里都有说明,大概有20个相关的程序吧-Some minor programming, unzip the bag after the documents are that there are about 20 bar-related procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:28672
    • 提供者:印建兵
  1. LC3-VHDL-another

    0下载:
  2. 另一套LC3 CPU VHDL源码及设计文档,对LC3进行了一些取舍和改造,比如NZP改为NZC,更贴近现实CPU硬件架构。按照ASM进行VHDL编码,更适合数字设计初学者学习。-Another set of LC3 CPU VHDL source code and design documents, of LC3 to a number of trade-offs and transformation, such as NZP cha
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:808960
    • 提供者:guo
  1. Digital-Design-and-Computer-Architecture-VHDL

    0下载:
  2. 《数字设计和计算机体系结构》一书MIPS VHDL源码。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:guo
  1. elock.vhdl.pdf

    0下载:
  2. 一种基于VHDL的电子密码锁论文设计,有部分代码,可以下来作为参考。-A VHDL-based electronic locks thesis design, have some code that can be down as a reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:368640
    • 提供者:李里
  1. counter

    0下载:
  2. 计数器的VHDL设计,已经在FPGA上验证-VHDL counter design, has been tested in the FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:chen
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc-8-bit CPU of the VHDL design, 16 instruction, as well as some of the test code, development tools is quartusii_60_pc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:FJ
  1. vhdl

    0下载:
  2. 本源码设计了自动电子琴,是本人课程设计的题目。-The source design of automatic organ, is the title of my course design.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:13550592
    • 提供者:sunlina
  1. VHDL

    0下载:
  2. 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码-Digital System Design full adder, 10 hexadecimal counter ,2-4 decoder, Moore state machine ,2-1 MUX source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:901120
    • 提供者:李帆
  1. VHDLFIR

    0下载:
  2. VHDL设计FIR滤波器 基于QUARTUS和MATLAB-VHDL design of FIR filter based on Quartus and MATLAB
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1032192
    • 提供者:twinslizzy
  1. VHDL

    0下载:
  2. VHDL的设计实例,觉得很有用,与大家共同享用。-VHDL design examples, feel useful, and everyone shared.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6633472
    • 提供者:riiuter
  1. LMS_FIR

    0下载:
  2. 一种LMS数字自适应滤波器的硬件实现方法,用VHDL设计文件。-LMS adaptive filter of a digital hardware implementation methods, VHDL design files.
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:250880
    • 提供者:
  1. ref-sdr-sdram-vhdl

    0下载:
  2. 标准SDR SDRAM控制器参考设计_verilog_lattice\sdr_ctrl.v-Standard SDR SDRAM Controller Reference Design _verilog_latticesdr_ctrl.v
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:776192
    • 提供者:王廷龙
  1. VHDL

    0下载:
  2. PDF格式的书,VHDL的入门教程,对电路设计刚入门的朋友应该有用-PDF format of the book, VHDL Entry Tutorial on circuit design just getting started should be useful to Friend
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:263168
    • 提供者:joe
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证-Traffic lights controller VHDL design, can be controlled by traffic lights at the crossroads of the conversion, through the target chips EPF10KLC84-4 verification
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:327680
    • 提供者:ellala
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org